lunes, 26 de julio de 2021

  INTEL ACELERA LAS INNOVACIONES DE PROCESOS Y EMPAQUETADO

La cadencia anual de innovaciones impulsa el liderazgo del silicio al sistema

NOTICIAS DESTACADAS

·   Intel presentó su ruta de innovaciones en procesos y empaquetado para impulsar la próxima ola de productos hacia 2025 y a futuro

·   La compañía anunció dos tecnologías de proceso revolucionarias: RibbonFET; la primera nueva arquitectura de transistores de Intel en más de una década, y PowerVia; la primera en la industria para el suministro de energía en la parte trasera

·   Intel refuerza su liderazgo continuo en innovaciones avanzadas de empaquetado 3D con Foveros Omni y Foveros Direct

·   Intel comparte nuevos nombres de nodos para crear un marco congruente, una vista más precisa de los nodos de proceso para los clientes y la industria, a medida que Intel ingresa a la era angstrom de semiconductores

·   La compañía anuncia un fuerte impulso para los servicios de manufactura de Intel (IFS, por sus siglas en inglés), con el anuncio de sus primeros clientes

Intel Corporation presentó una de las hojas de ruta de tecnología de procesos y empaquetado más detalladas que la compañía haya proporcionado, en donde destacó tecnologías innovadoras que impulsarán sus productos hacia 2025 y a futuro. Además de anunciar RibbonFET, su primera nueva arquitectura de transistores en más de una década, y PowerVia, nuevo método pionero en la industria en materia de suministro de energía en la parte trasera, la empresa destacó su rápida planeación y adopción de la litografía ultravioleta extrema (EUV, por sus siglas en inglés) de próxima generación, conocida como EUV de alta apertura numérica (Alta NA). Intel está en posición de recibir la primera herramienta de producción de EUV de Alta NA en la industria.

 “Basándonos en el liderazgo incuestionable de Intel en empaquetado avanzado, estamos acelerando nuestra ruta de innovación para asegurarnos que para el 2025 vayamos por un camino claro hacia el liderazgo en el rendimiento de procesos”, dijo el CEO de Intel, Pat Gelsinger, durante el webcast global “Intel Accelerated”. “Aprovechamos nuestra incomparable línea de innovación para ofrecer avances tecnológicos desde el transistor hasta el nivel del sistema. Hasta que se agote la tabla periódica, seremos implacables en nuestra búsqueda para cumplir con la Ley de Moore y nuestro camino para innovar con la magia del silicio”.

La industria reconoce desde hace tiempo que la denominación tradicional de los nodos de proceso basados en el nanómetro dejó de coincidir con la métrica de la longitud real de 1997. El día de hoy, Intel presentó una nueva estructura de nombres para sus nodos de proceso, con lo que creará un marco claro y congruente para brindar a los clientes una visión más precisa en toda la industria. Esta claridad es más importante que nunca con el lanzamiento de los servicios de manufactura de Intel (IFS, por sus siglas en inglés). “Las innovaciones presentadas hoy, no solo habilitarán la hoja de ruta de productos de la compañía, sino que también serán fundamentales para nuestros clientes de servicios de manufactura”, dijo Gelsinger. “Se ha mostrado mucho interés en IFS y estoy feliz porque hoy anunciemos a nuestros dos primeros clientes importantes. ¡IFS está listo para empezar con fuerza!”

Los especialistas de Intel describieron la siguiente hoja de ruta con los nuevos nombres de nodos y las innovaciones que habilitan a cada caso:

·         Intel 7 ofrece un aumento de rendimiento por vatio de aproximadamente 10% a 15% sobre Intel 10nm SuperFin con base en optimizaciones de transistores FinFET. Intel 7 se incluirá en productos como Alder Lake para clientes en 2021 y Sapphire Rapids para el centro de datos, que se espera que esté en producción en el primer trimestre de 2022.

·         Intel 4 adopta completamente la litografía EUV para imprimir características increíblemente pequeñas con luz de longitud de onda ultracorta. Con un aumento de rendimiento por vatio de aproximadamente 20%, así como mejoras de área, Intel 4 estará listo y en producción para el segundo semestre de 2022 en productos que se entregarán en 2023, incluido Meteor Lake para clientes y Granite Rapids para centros de datos.

·         Intel 3 aprovecha aún más las optimizaciones de FinFET y un mayor EUV para ofrecer un aumento de rendimiento por vatio de aproximadamente 18% sobre Intel 4, junto con mejoras de área adicionales. Intel 3 estará listo para comenzar a fabricarse en productos durante el segundo semestre de 2023.

·         Intel 20A marca el comienzo de la era ángstrom con dos tecnologías innovadoras: RibbonFET y PowerVia. RibbonFET, la implementación de Intel de un transistor de compuerta envolvente será la primera nueva arquitectura de transistores de la empresa desde que fue pionera con los FinFET en 2011, brindando velocidades de conmutación de transistores más rápidas, mientras logra la misma corriente de impulso que múltiples aletas en un espacio más pequeño. PowerVia es la primera implementación de Intel en la industria de suministro de energía en la parte posterior que optimiza la señal de transmisión al eliminar la necesidad de enrutamiento de energía en la parte frontal de la oblea. Se espera que Intel 20A esté listo en 2024. La empresa también está entusiasmada con la oportunidad de asociarse con Qualcomm utilizando su tecnología de proceso Intel 20A.

·         A partir del 2025: Más allá de Intel 20A, Intel 18A ya está en desarrollo y se prevé que se lanzará a principios de 2025 con mejoras en RibbonFET que brindarán otro salto importante en cuanto al rendimiento de los transistores. Intel también trabaja para definir, construir e implementar EUV de Alta NA de próxima generación, y espera recibir la primera herramienta de producción en la industria de EUV de Alta NA. Intel tiene una alianza estrecha con ASML para asegurar el éxito de este avance en la industria más allá de la generación actual de EUV.

“Intel tiene una larga historia de innovaciones de procesos fundamentales que impulsan la industria a pasos agigantados”, dijo la Dra. Ann Kelleher, vicepresidenta senior y gerente general de Desarrollo de Tecnología. “Lideramos la transición a silicio deformado a 90nm, a compuertas metálicas High-k a 45nm y a FinFET a 22nm. Intel 20A será otro momento decisivo en la tecnología de procesos con dos innovaciones revolucionarias: RibbonFET y PowerVia”.

Con la nueva estrategia IDM 2.0 de Intel, el empaquetado se está volviendo aún más importante para lograr los beneficios de la Ley de Moore. Intel anunció que AWS será el primer cliente en utilizar las soluciones de empaquetado de IFS, y a la vez brindará las siguientes perspectivas sobre la hoja de ruta de empaquetado avanzado líder en la industria de la empresa:

·         EMIB continúa liderando la industria como la primera solución de puente integrado 2.5D, con envíos de productos desde 2017. Sapphire Rapids será el primer producto de centro de datos de Xeon en enviarse en gran volumen con EMIB (puente integrado de interconexión de múltiples matrices). También será el primer dispositivo del tamaño de una retícula doble en la industria que ofrecerá casi el mismo rendimiento que un diseño monolítico. Además de Sapphire Rapids, la próxima generación de EMIB pasará de un bump pitch de 55 micrones a 45 micrones.

·         Foveros aprovecha las capacidades de empaquetado a nivel de oblea para ofrecer una solución de apilamiento 3D única en su tipo. Meteor Lake será la implementación de segunda generación de Foveros en un producto de cliente, y presenta un bump pitch de 36 micrones, mosaicos que abarcan múltiples nodos de tecnología y un rango de potencia de diseño térmico de 5 a 125W.

·         Foveros Omni marca el comienzo de la próxima generación de tecnología Foveros al ofrecer flexibilidad ilimitada con tecnología de apilamiento 3D de rendimiento para diseños modulares y de interconexión de matriz a matriz. Foveros Omni permite la desagregación de matrices al mezclar múltiples mosaicos de matrices superiores con múltiples mosaicos de base en nodos de fabricación mixtos y se espera que esté listo para su fabricación en volumen en 2023.

·         Foveros Direct pasa a la unión directa de cobre a cobre para interconexiones de baja resistencia y difumina el límite entre donde termina la oblea y donde comienza el empaque. Foveros Direct habilita bump pitches de menos de 10 micrones que ofrecerán un aumento de orden de magnitud en la densidad de interconexión para el apilamiento 3D, lo que abre nuevos conceptos para la partición funcional de matrices que antes eran inalcanzables. Foveros Direct es complementario a Foveros Omni y también se espera que esté listo en 2023.

Los avances que se presentaron el día de hoy se desarrollaron principalmente en las instalaciones de Intel en Oregón y Arizona, con lo que se consolida la función de la empresa como el único participante de vanguardia con investigación y desarrollo, y fabricación en los EE. UU. Además, las innovaciones se basan en una estrecha colaboración con un ecosistema de socios tanto en Estados Unidos como en Europa. Las alianzas sólidas son un elemento clave para llevar las innovaciones fundamentales del laboratorio a la fabricación de alto volumen, e Intel se compromete a aliarse con los gobiernos para fortalecer las cadenas de suministro e impulsar la seguridad económica y nacional.

La empresa cerró su webcast con la confirmación de más detalles sobre su evento Intel InnovatiON, el cual se llevará a cabo en un formato híbrido; presencial en San Francisco y en línea, del 27 al 28 de octubre de 2021. Para más información, consulte el sitio web de Intel ON.

Para obtener más información sobre la hoja de ruta de procesos de Intel y la denominación de nodos, visite la hoja informativa del proceso. Para ver una repetición del webcast de hoy, visite el Newsroom de Intel o el sitio web de relaciones con inversionistas de Intel.

No hay comentarios:

Publicar un comentario